怎么使用matlab生成sine波coe文件

发布时间:2021-12-30 17:20:09 作者:小新
来源:亿速云 阅读:223

小编给大家分享一下怎么使用matlab生成sine波coe文件,相信大部分人都还不怎么了解,因此分享这篇文章给大家参考一下,希望大家阅读完这篇文章后大有收获,下面让我们一起去了解一下吧!

              使用matlab生成sine波coe文件

在xilinx ISE中我们使用ROM经常会用到.coe文件,coe文件为xilinx ROM的初始化文件。

Coe文件举例说明:

MEMORY_INITIALIZATION_RADIX=10;  %10代表10进制  ,2代表2进制,8代表8进制,16代表16进制。

MEMORY_INITIALIZATION_VECTOR=  

2500,

2663,

2826,

2987,

...

...

...

2012,

2173,

2336;

Matlab源码:

clear all

close all

clc

width =16;

f=1000;

fs=96000;%96KHZ 采样频率

N=96;

t=(0:N-1)/fs;

digits(5)

sin0=sin(2*pi*f*t)*3.25+3.25;

fid = fopen('E:\ise_project\audio\coe\sim_rom0.coe','w');%文件存放路径

fprintf(fid,'MEMORY_INITIALIZATION_RADIX=%d; \n',width);

fprintf(fid,'MEMORY_INITIALIZATION_VECTOR=  \n');  

for(k=1:N-1)  

    fprintf(fid,'%d, \n',sin0(k));

end

fprintf(fid,'%d; \n',sin0(N));%每个数据后面用逗号或者空格或者换行符隔开,最后一个数据后面加分号

plot(t,sin0,'*');

结果:

怎么使用matlab生成sine波coe文件

在FPGA上使用da输出示波器显示结果:

怎么使用matlab生成sine波coe文件以上是“怎么使用matlab生成sine波coe文件”这篇文章的所有内容,感谢各位的阅读!相信大家都有了一定的了解,希望分享的内容对大家有所帮助,如果还想学习更多知识,欢迎关注亿速云行业资讯频道!

推荐阅读:
  1. 使用pyinstaller生成exe文件
  2. Matlab生成随机矩阵

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

matlab sine

上一篇:Java事件与错误处理方法是什么

下一篇:JBuilder常见快捷键有哪些

相关阅读

您好,登录后才能下订单哦!

密码登录
登录注册
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》