virtual method怎么使用

发布时间:2021-12-17 16:27:58 作者:iii
来源:亿速云 阅读:278

本篇内容介绍了“virtual method怎么使用”的有关知识,在实际案例的操作过程中,不少人都会遇到这样的困境,接下来就让小编带领大家学习一下如何处理这些情况吧!希望大家仔细阅读,能够学有所成!

method是class中子程序,包括function和task。

当直接将子类赋值给父类,再调用父类中的方法时,实际调用的还是父类中的方法。除非该方法被virtual声明,子类就可以覆盖父类中的方法。

这是systemverilog面向对象语言的多态(polymorphism)特征。

用关键字virtual声明的SystemVerilog方法称为virtual methods。

Virtual Functions模板:


virtual function function_name;//Function definitionendfunction

Virtual Tasks模板:


virtual task task_name;//task definitionendtask

在示例1中,父类内部的方法声明时没有virtual关键字,赋值后b_c.display()将调用父类的方法。

class base_class;
 function void display;    $display("Inside base_class");  endfunction
endclass
class extended_class extends base_class;
 function void display;    $display("Inside extended class");  endfunction
endclass module virtual_class;  initial begin    base_class    b_c;    extended_class e_c;
   e_c = new();    b_c = e_c;
   b_c.display();  endendmodule

 输出:


Inside base_class

 

在示例2中,父类内部的方法声明时加上virtual关键字,赋值后b_c.display()将调用子类的方法。


class base_class;
 virtual function void display;    $display("Inside base_class");  endfunction
endclass
class extended_class extends base_class;
 virtual  function void display;    $display("Inside extended class");  endfunction
endclass module virtual_class;  initial begin    base_class    b_c;    extended_class e_c;
   e_c = new();    b_c = e_c;
   b_c.display();  endendmodule

输出:


Inside extended class

pure virtual method

virtual method:这是一种可以在派生类中继承的方法,该方法可以在派生类中被覆盖。

pure virtual method:这是在Virtual Class(可以扩展但不能实例化的类,仅作为基础类或原型类)中声明的virtual method。在派生类必须具有该方法的具体实现。

pure virtual method只能是原型或模板。

pure virtual method内部不能具有任何实现。

pure virtual method不允许使用“ end”关键字,例如endfunction / endtask。

virtual class base_class;  pure virtual function void display;endclass
class extended_class extends base_class;  virtual  function void display;    $display("Inside extended class");  endfunctionendclass
module virtual_class;  initial begin    extended_class e_c;    e_c = new();    e_c.display();  endendmodule

如果有一个带有pure virtual method的Virtual Class,则必须在子类中对其具体实现。但对于virtual method,可以覆盖也可以不覆盖。

“virtual method怎么使用”的内容就介绍到这里了,感谢大家的阅读。如果想了解更多行业相关的知识可以关注亿速云网站,小编将为大家输出更多高质量的实用文章!

推荐阅读:
  1. kali上安装virtual box
  2. Virtual Network (1) - How to use it in a guest

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

virtual method

上一篇:zookeeper session过期该如何理解

下一篇:如何进行springboot配置templates直接访问的实现

相关阅读

您好,登录后才能下订单哦!

密码登录
登录注册
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》