选通显示 $strobe

发布时间:2020-06-28 10:24:23 作者:lihaichuan
来源:网络 阅读:795

选通显示(strobing)是由关键字为$strobe的系统任务完成。这个任务与$display主要差异在于如下:如果许多语句和$display任务在同一时间单位执行,那么这些语句与$display任务执行的顺序是不确定的。如果使用$strobe,改语句总是在同时可的其他赋值语句执行完后才执行。它可以确保所有在同一始终沿赋值的其他语句在执行完毕后才显示数据。如:

always@(posedge clk)
begin
       a=b;
       c=d;
end

always@(posedge clk)
       $strobe(“displaying a=%b,c=%b”,a,c);

在此例子中,在a=b;c=d;执行完后,才执行$strobe(“displaying a=%b,c=%b”,a,c);。如果使用
$display,则顺序就不确定。

推荐阅读:
  1. 云栖干货回顾 | 行业顶级NoSQL成员坐阵,NoSQL数据库专场重点解析!
  2. 达梦数据库(DM7)条件语句和循环语句的几个简单的例子

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

$strobe verilog st

上一篇:在学习ASP.NET中的开发技巧

下一篇:ES6中Generator的使用方法

相关阅读

您好,登录后才能下订单哦!

密码登录
登录注册
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》